Files |  Tutorials |  Articles |  Links |  Home |  Team |  Forum |  Wiki |  Impressum

Aktuelle Zeit: Do Mär 28, 2024 17:22

Foren-Übersicht » Sonstiges » Community-Projekte
Unbeantwortete Themen | Aktive Themen



Ein neues Thema erstellen Auf das Thema antworten  [ 32 Beiträge ]  Gehe zu Seite Vorherige  1, 2, 3  Nächste
Autor Nachricht
BeitragVerfasst: Di Jan 10, 2012 16:15 
Offline
Forenkatze
Benutzeravatar

Registriert: Mi Okt 22, 2003 18:30
Beiträge: 1944
Wohnort: Närnberch
Programmiersprache: Scala, Java, C*
Lord Horazont hat geschrieben:
Ich würde die Lösungen gerne ungestört von Diskussionen über die Aufgabenstellung haben.

greetings

Ah hm ja. Ich denke nicht, dass es so viel über die Aufgabenstellung zu diskutieren gibt. Was sollte man denn da auch diskutieren? Falls es natürlich (würde mich aber echt überraschen) viel Blubberbedarf bzgl. der Aufgabenstellungen gibt, dann machen getrennte Threads freilich Sinn. Ansonsten halte ich sie für Overkill. Wie schätzt ihr das ein?

_________________
"Für kein Tier wird so viel gearbeitet wie für die Katz'."


Nach oben
 Profil  
Mit Zitat antworten  
BeitragVerfasst: Di Jan 10, 2012 16:33 
Offline
DGL Member
Benutzeravatar

Registriert: Do Sep 02, 2004 19:42
Beiträge: 4158
Programmiersprache: FreePascal, C++
Nagut, in einer Pilotphase kann man's ja erstmal mit einem Thread machen. Ich finde die Idee ausreichend interessant um das bald mal auszuprobieren. Wenns keine weiteren Kommentare gibt, würde ich das nötige Unterforum und eine Kurzbeschreibung im Wiki anlegen und dort verlinken.

In welche Forengruppe meint ihr gehört das rein? Programmierung, Sonstiges? [ an dieser Stelle sei angemerkt, dass wir die Gruppen eventuell umstrukturieren könnten, aber das kann man später diskutieren]

greetings

_________________
If you find any deadlinks, please send me a notification – Wenn du tote Links findest, sende mir eine Benachrichtigung.
current projects: ManiacLab; aioxmpp
zombofant networkmy photostream
„Writing code is like writing poetry“ - source unknown


„Give a man a fish, and you feed him for a day. Teach a man to fish and you feed him for a lifetime. “ ~ A Chinese Proverb


Nach oben
 Profil  
Mit Zitat antworten  
BeitragVerfasst: Di Jan 10, 2012 17:00 
Offline
Forenkatze
Benutzeravatar

Registriert: Mi Okt 22, 2003 18:30
Beiträge: 1944
Wohnort: Närnberch
Programmiersprache: Scala, Java, C*
Yay! Pilotphase ist gut :)

Also als unbedarfter DGL-Neuling würde ich vom Programmier-Forum erwarten, dass dort von Fragenden Fragen gepostet werden, die dann von den DGL-Gurus beantwortet werden. So wie es ja jetzt auch ist bzw. der Untertitel der Foren suggeriert: "Bitte nur Fragen zur Programmierung von OpenGL, Effekten oder 3D-Techniken im Allgemeinen posten.".

Die Einteilung ist schwierig. Wenn man sagt, dass in "Programmierung" alles ist, was programmieren ist, müssten da auch die Projekte-Foren rein. Vielleicht sollte man das Ding bei der Gelegenheit mal in "Programmierfragen" ändern... ach was weiß ich. Wird ja leicht OT :)

Vielleicht wirklich in "Programmierung" rein. In Sonstiges würde ich es erstmal nicht stecken, denke ich. Notfalls lässt sich das ja auch umsortieren.

also: Für den Anfang in Programmierung :)

_________________
"Für kein Tier wird so viel gearbeitet wie für die Katz'."


Nach oben
 Profil  
Mit Zitat antworten  
BeitragVerfasst: Di Jan 10, 2012 17:06 
Offline
DGL Member
Benutzeravatar

Registriert: Do Sep 02, 2004 19:42
Beiträge: 4158
Programmiersprache: FreePascal, C++
Hab gerade mal nen Draft für den Regelthread des Forums gebaut:
Zitat:
In diesem Unterforum werden sog. Design Challenges gestellt und gelöst.

Dies sind mehr oder minder komplexe Aufgaben, bei denen es um die Lösung eines programmiertechnischen Problemes geht. Es wird eine Aufgabe gestellt und man kann sein können in einer bestimmten Sprache beweisen, indem man das Problem möglichst elegant, einfach und effizient löst. Dabei gibt es vorerst keine Einschränkung der Sprache, es geht gerade darum, möglichst viele unterschiedliche Lösungen zu sehen. Schön wäre es allerdings, sich, wenn man eine Lösung für mehrere Sprachen hat, für die populärere zu entscheiden.

Jeder Challenge hat seinen eigenen Thread und nur dort dürfen Lösungen und Diskussionsbeiträge zu diesen gepostet werden. Wer eine Idee für ein Challenge hat, darf diese im Design Challenge Vorschläge-Thread posten. Ein Moderator wird dann gegebenenfalls den Thread erstellen. Stellt sicher, dass die Beschreibung ausreichend ist, um das Problem zu lösen.

Der Ursprung der Design Challenges liegt in diesem Thread.


Bitte kommentieren!

greetings

_________________
If you find any deadlinks, please send me a notification – Wenn du tote Links findest, sende mir eine Benachrichtigung.
current projects: ManiacLab; aioxmpp
zombofant networkmy photostream
„Writing code is like writing poetry“ - source unknown


„Give a man a fish, and you feed him for a day. Teach a man to fish and you feed him for a lifetime. “ ~ A Chinese Proverb


Nach oben
 Profil  
Mit Zitat antworten  
BeitragVerfasst: Di Jan 10, 2012 17:10 
Offline
Forenkatze
Benutzeravatar

Registriert: Mi Okt 22, 2003 18:30
Beiträge: 1944
Wohnort: Närnberch
Programmiersprache: Scala, Java, C*
Klingt gut. Vielleicht noch einen Hinweis auf die Pilotphase? Andererseits neigen solche provisorischen Hinweise dazu, die Jahrzehnte zu überdauern ;) Aber ich denke, er würde hier Sinn machen. Verleiht dem Ganzen auch einen etwas professionelleren Anstrich.

_________________
"Für kein Tier wird so viel gearbeitet wie für die Katz'."


Nach oben
 Profil  
Mit Zitat antworten  
BeitragVerfasst: Sa Jan 14, 2012 12:29 
Offline
DGL Member
Benutzeravatar

Registriert: Do Sep 02, 2004 19:42
Beiträge: 4158
Programmiersprache: FreePascal, C++
So, da niemand weiter protestiert hat, sind die Design Challenges nun freigeschaltet. TAK2004, wenn du schon vorschläge hast (wovon ich ausgehe…) könntest du diese bitte im passenden Thread posten? :)

_________________
If you find any deadlinks, please send me a notification – Wenn du tote Links findest, sende mir eine Benachrichtigung.
current projects: ManiacLab; aioxmpp
zombofant networkmy photostream
„Writing code is like writing poetry“ - source unknown


„Give a man a fish, and you feed him for a day. Teach a man to fish and you feed him for a lifetime. “ ~ A Chinese Proverb


Nach oben
 Profil  
Mit Zitat antworten  
BeitragVerfasst: So Jan 15, 2012 12:10 
Offline
DGL Member
Benutzeravatar

Registriert: Do Dez 05, 2002 10:35
Beiträge: 4234
Wohnort: Dortmund
Lord Horazont hat geschrieben:
So, da niemand weiter protestiert hat, sind die Design Challenges nun freigeschaltet. TAK2004, wenn du schon vorschläge hast (wovon ich ausgehe…) könntest du diese bitte im passenden Thread posten? :)
Ist ja nicht jeder immer jeden Tag da. Abgesehen davon habe ich das Thema bis zum Erstellen des Unterforums erfolgreich verdrängt. ^^

In meiner gewohnt skeptischen Art möchte ich da aber noch was zu bedenken geben. Jedes Problem in der Software Entwicklung muss man individuell betrachten. Es gibt zwar Design Patterns die in der Neuzeit gerne das Allheilmittel für Alles sind und mit denen nur so um sich geworfen wird. Sie können helfen. Ja. Aber ein Gehirn werden sie dennoch nicht ersetzen. Entsprechend finde ich so eine Idee doch eher problematisch. Keine Frage neue Ideen sind immer gut. Aber ich denke das solche Challenges eher nur bei mathematischen Fragen (+ wenige andere Gebiete) Sinn machen würden. Selbst eine kleine Änderung hinter dem gefragten Problem kann ein grundsätzliche Ändernung der gesammten Struktur bewirken. Von daher darf man meiner Meinung nach nicht nur das Kleine betrachten sondern muss es im großen Ganzen sehen.

Also Beispiel für meine Bedenken kann man auch die aktuellen Vorschlag mit betrachten. Einige Formulieren finde ich eher verwirrend als Hilfreich. Geht es da jetzt auch darum die Sensoren mit auszulesen? Wenn ja. Was für Sensoren sind das? Gibt ja nur so 20.000 verschiedene. Wenn nein, dann kann man da mit ein paar ordinäten Listen schon genug erreichen. Nur wird man Probleme haben, wenn man über die Daten Auswertungen fahren will. Die im übrigen auch noch Live oder Abschließend sein können. Je nachdem muss die Struktur auch anders gestaltet werden. Dann ist auch noch die Frage wie viele Werte pro Stunde, Sekunde oder Millisekunde können auflaufen? All das sind Fragen die eine Struktur grundsätzlich beeinflussen.

Ich will das Ganze nicht madig machen. Neuer Schwung ist immer gut und wünschenswert. Aber ich sehe da doch insgesammt ein paar Probleme.


Nach oben
 Profil  
Mit Zitat antworten  
BeitragVerfasst: So Jan 15, 2012 15:19 
Offline
DGL Member
Benutzeravatar

Registriert: Di Mai 18, 2004 16:45
Beiträge: 2621
Wohnort: Berlin
Programmiersprache: Go, C/C++
Solch ein Event hat man auf der Arbeit(als Programmierer) sehr häufig und wird z.B. in Feature Kick-Off Meetings gemacht.
Wenn man zu einem Produkt ein neues Feature plant, dann setzten sich in der Regel die Entwickler zusammen und diskutieren die Integration in die Software.
Dabei werden Klassen konzeptioniert, modifiziert und das Verhalten prognostiziert.
Wieso macht man das in einem Meeting und nicht einfach nur ein Entwickler ?
Die Antwort ist, niemand ist Perfekt und ein guter Programmierer ist nicht unbedingt ein guter Software Designer.

Damit diese Challanges nicht viel Spielraum bieten, müssen die Anforderungen so klein wie möglich sein, damit die Konzepte am Ende alle exakt das gleiche tun aber auf unterschiedlicher Weise. Aus diesen Events kann man dann entsprechend die Gedankengänge der jeweiligen Personen analysieren und versuchen diese zu adaptieren. Verinnerlicht man diese Prozesse, dann kann man sie auch recht gut auf ähnliche Probleme wieder anwenden und kann somit schneller solide Klassendesigns produzieren.

Mein Gedanken für den ersten Vorschlag war, dass es so brachial einfach wie möglich sein sollte, damit erstmals die Leute ein Gefühl dafür bekommen und mit möglichst wenig Zeitaufwand und Vorkenntnissen teilnehmen können.
Ein Vorschlag ist nicht endgültig, es können Formulierungsprobleme drin sein, die natürlich ausgeräumt werden müssen, bevor man sie in die Tat umsetzen möchte.

_________________
"Wer die Freiheit aufgibt um Sicherheit zu gewinnen, der wird am Ende beides verlieren"
Benjamin Franklin

Projekte: https://github.com/tak2004


Nach oben
 Profil  
Mit Zitat antworten  
BeitragVerfasst: So Jan 15, 2012 21:19 
Offline
DGL Member
Benutzeravatar

Registriert: Do Dez 05, 2002 10:35
Beiträge: 4234
Wohnort: Dortmund
Du klingst gerade eher wie ein Produktmanager als wie ein Entwickler. ;)

Kick-Off Meetings sind sicher nützlich. Allerdings wenn die so ins Detail gehen wird für meinen Geschmack zu viel Besprochen. Das man spricht ist natürlich vollkommen selbstverständlich und wichtig. Aber man kann es immer übertreiben. Also zu viel Gespräche sind nicht gut. Zu wenig aber auch nicht. In meinen Augen muss ein Entwickler aber auch Software designen können. Ansonsten ist er (nichts für ungut) nur ein Schreibsklave. Dass man nicht immer die perfekte Lösung hat ist selbstverständlich. Aber mir ging es auch nur darum meine Bedenken zu den Callenges und dem Eventvorschlag zu äußern. Und zwar, dass man immer alles in dem entsprechenden Kontext betrachten muss und kein Problem dem anderen ähnelt.


Nach oben
 Profil  
Mit Zitat antworten  
BeitragVerfasst: So Jan 15, 2012 21:35 
Offline
DGL Member
Benutzeravatar

Registriert: Do Dez 29, 2005 12:28
Beiträge: 2249
Wohnort: Düsseldorf
Programmiersprache: C++, C#, Java
Zitat:
In meinen Augen muss ein Entwickler aber auch Software designen können. Ansonsten ist er (nichts für ungut) nur ein Schreibsklave.

Dem kann ich nur zustimmen.

Zitat:
Dass man nicht immer die perfekte Lösung hat ist selbstverständlich.

Man darf auch nicht zu viel Zeit in die Planung investieren. Bei uns ist es häufig so das zunächst erst mal überhaupt nicht klar ist was am Ende dabei herauskommen soll. Was auf dem Papier gut klingt muss nicht unbedingt auch ein tolles Spiel sein. Perfekte Lösungen machen keinen Sinn, wenn nicht mal das Problem bekannt ist ;) Meist wird erst mal ein Prototyp gebaut, dann kommen abwechselnd die Game Designer und Artists und überlegen was sie überhaupt haben wollen. Der Prototyp wird dann schrittweise immer weiter refactored, bis zum Endprodukt :)

_________________
Yeah! :mrgreen:


Nach oben
 Profil  
Mit Zitat antworten  
BeitragVerfasst: Mo Jan 16, 2012 04:12 
Offline
DGL Member
Benutzeravatar

Registriert: Di Mai 18, 2004 16:45
Beiträge: 2621
Wohnort: Berlin
Programmiersprache: Go, C/C++
Letztlich ist es haarespalterei, weil sobald ich eine Klasse anlege ich schon eine Designentscheidung getroffen habe.
Es gibt Programmierer die ihre Arbeit in gegebener Zeit schaffen, relative Bug freien Code abliefern und trotzdem kann man den Code weg werfen, weil niemand anderes damit klar kommt. Es gibt aber auch Software Engineer's, die können das beste Softwaredesign machen und am ende kommt Müll raus, weil sie die Sprache nicht ausreichend beherrschen.
Meiner Erfahrung nach, muss ein Entwickler nicht Software designen können aber er sollte, wenn er gut sein will.
Das Problem bei Software design ist, dass man dieses nur durch Erfahrung erlernen kann und diese bekommt man nur zu sehr kleinen Bruchstücken aus Büchern und Lehrgängen.
Dafür muss man viele Projekte begleiten und analysieren.

Zitat:
Und zwar, dass man immer alles in dem entsprechenden Kontext betrachten muss und kein Problem dem anderen ähnelt.

Wäre dies der Fall, dann würde es keine Design Pattern geben.
Es treten gleiche Probleme in unterschiedlichen Kontext auf und diese werden erkannt und Lösungen verfasst.
Dies heißt aber nicht, dass man mit solch einer Lösung in jedem Kontext erfolgreich zum Ziel kommt, denn dann hätten wir ein große Buch, wo alle Antworten bzgl. Software Design drin stehen würden.
Um zu wissen, ob ich ein Pattern auf eine Gegebenheit sinnvoll anwenden kann, muss ich zum einen das Pattern kennen und zum anderem seine Nützlichkeit in ähnlichen Fällen.
Diese Erfahrungen in einen wesentlich effizienteren Rahmen zu machen, ist mein Ziel für das ganze Projekt.

_________________
"Wer die Freiheit aufgibt um Sicherheit zu gewinnen, der wird am Ende beides verlieren"
Benjamin Franklin

Projekte: https://github.com/tak2004


Nach oben
 Profil  
Mit Zitat antworten  
BeitragVerfasst: Mo Jan 16, 2012 10:35 
Offline
Guitar Hero
Benutzeravatar

Registriert: Do Sep 25, 2003 15:56
Beiträge: 7804
Wohnort: Sachsen - ERZ / C
Programmiersprache: Java (, Pascal)
Ich habe die Erfahrung gemacht, dass die Lösungen zu einem Problem immer quasi die Gleichen waren. (Ist ja auch der Ursprung der "Pattern" Idee).
Als ich meine GUI geschreiben habe und später die SWT GUI gesehen habe, kam mir sehr viel sehr bekannt vor.

Aber seis drum:
Wenn sich Leute an den Chellanges beteiligen finde ich das Gut.

_________________
Blog: kevin-fleischer.de und fbaingermany.com


Nach oben
 Profil  
Mit Zitat antworten  
BeitragVerfasst: Mo Jan 16, 2012 10:43 
Offline
DGL Member
Benutzeravatar

Registriert: Do Dez 05, 2002 10:35
Beiträge: 4234
Wohnort: Dortmund
War vielleicht etwas restriktiv geschrieben. Natürlich gibt es genügend Fälle in denen Pattern helfen. Aber zu der Zeit wo ich mit Programmieren angefangen habe war das noch kein großes Thema (zu mindest in meinem Umfeld). Aktuell ist es aber so, dass gerade hier teils nur noch mit Pattern programmiert wird. Was eventuell auch an der stark vergrößerten Anzahl an Studenten liegen mag. Oder es ist einfach nur ein Trend. Ich befürchte, dass wenn man sich zu sehr auf bekannste Strukturen (Pattern) beschränkt, dass man dann irgendwann das Talent zum Entwickeln neuer Strukturen vernachlässigt. Was aber natürlich nicht heißt, dass man auf solche Sachen nicht zurückgreifen darf. Sie können aber kein Universalpatent sein.

Aber darum geht es auch gar nicht. Ich wollte mit meinem ersten Beitrag auch nur meine Skepsis gegenüber der Challenges zum Ausdruck bringen. Eben auch in Bezug auf den ersten Vorschlag. Für solch einen Vorschlag fehlen meiner Meinung nach ein paar Rahmenbedingungen. Mittlerweile wurde geklärt, dass es nur eine API sein soll mit der die Werte gesammelt werden sollen. Aber was ist mit solchen Informationen wie zum Beispiel: Wie viele Werte pro Sekunde werden erwartet, wie lange soll gemessen werden? Das mag der ein oder andere vielleicht als Haarspalterei betrachten. Wenn es wirklich ein paar Werte mehr sein sollten und/oder der Zeitraum ausreichend Groß ist, dann braucht man ein komplett anderes Design. Ansonsten genügt ein Hash in denen Anhand des Names ein paar Listen abgelegt werden. Wirklich viel damit anfangen könnte man damit auch nur bedingt.

Wenn das doch mehr werden sollte, dann wird es irgendwann problematisch, weil Listen im Speicher umkopiert werden müssen. Wenn dann später jemand vorbei kommt der noch nicht so erfahren ist, der wird die Probleme nicht erkennen und glaubt seine Lösung gefunden zu haben. Nur dann wundert er sich warum es nicht so funktioniert. Ganz zu schweigen davon, dass diejenigen die vor haben an einer Challenge teilzunehmen, sich solcher Probleme bewusst sind oder nicht. Der eine stellt eine sehr einfache Lösung auf, wärend der Andere eine sehr komplexe hat. Oder jemand denkt daran, dass man zu den Werten eventuell noch die Zeit braucht, weil die Werte sonst nichts weiter als Zahlen ohne Bezug sind. Und so weiter und so weiter. Und das sind so ein paar Punkte wo ich der Meinung bin, dass man solche Dinge immer im großen Ganzen betrachten muss und sie nicht nur generell halten sollte. Denn jeder sieht so etwas aus einem anderen Winkel. Nur weil man selbst eine klare Vorstellung einer Sache in seinem Kopf hat muss es nicht bedeuten, dass andere das genau so sehen oder man ihnen seine Idee so verdeutlichen kann wie man sie selber sieht.

Und noch mal. Die Challenges können durchaus eine gute Idee sein. Nur gibt es da sicher ein paar Sachen die man nie außer acht lassen darf. Darauf wollte ich mit meinem Beitrag hinweisen.


Nach oben
 Profil  
Mit Zitat antworten  
BeitragVerfasst: Mo Jan 16, 2012 10:52 
Offline
DGL Member
Benutzeravatar

Registriert: Do Sep 02, 2004 19:42
Beiträge: 4158
Programmiersprache: FreePascal, C++
Lossy eX hat geschrieben:
Natürlich gibt es genügend Fälle in denen Pattern helfen. Aber zu der Zeit wo ich mit Programmieren angefangen habe war das noch kein großes Thema (zu mindest in meinem Umfeld). Aktuell ist es aber so, dass gerade hier teils nur noch mit Pattern programmiert wird. Was eventuell auch an der stark vergrößerten Anzahl an Studenten liegen mag. Oder es ist einfach nur ein Trend. Ich befürchte, dass wenn man sich zu sehr auf bekannste Strukturen (Pattern) beschränkt, dass man dann irgendwann das Talent zum Entwickeln neuer Strukturen vernachlässigt. Was aber natürlich nicht heißt, dass man auf solche Sachen nicht zurückgreifen darf. Sie können aber kein Universalpatent sein.

Da spricht mir wer aus der Seele. »Zu meiner Zeit« hat man sich solche Strukturen noch selber ausgedacht.

Lossy eX hat geschrieben:
Der eine stellt eine sehr einfache Lösung auf, wärend der Andere eine sehr komplexe hat. Oder jemand denkt daran, dass man zu den Werten eventuell noch die Zeit braucht, weil die Werte sonst nichts weiter als Zahlen ohne Bezug sind. Und so weiter und so weiter.

Das stimmt alles. Aber es war von vornherein gesagt, dass die Challenges eben keine ultimativen Lösungen liefern sollen. Deshalb machen wir sie ja offen als Forenthreads (und deshalb war ich eigentlich auch für 1 Thread/Lösung, einfach, um Lösungen direkt diskutieren zu können…).

Es ist ja auch nicht so, dass man hier ein Challenge aufstellt, um das Problem, was man gerade bei einem Projekt hat, zu Lösen (obwohl bestimmte Fragen natürlich in Challenges umgewandelt werden können). Es geht eher darum, dass es hier interessante Probleme gibt, die es zu lösen gilt. Wo man eben nicht nach der Pattern-Denkweise rangehen kann, sondern wo man eventuell eigene Strukturen erfinden kann, darf und soll. Zumindest habe ich die Challenges so verstanden (ich hoffe, Tak meinte sie auch so ;) ).

Lossy eX hat geschrieben:
Und noch mal. Die Challenges können durchaus eine gute Idee sein. Nur gibt es da sicher ein paar Sachen die man nie außer acht lassen darf. Darauf wollte ich mit meinem Beitrag hinweisen.

Seit wann muss man sich bei DGL für seine (sachliche) Meinungskundtuung rechtfertigen?

greetings

_________________
If you find any deadlinks, please send me a notification – Wenn du tote Links findest, sende mir eine Benachrichtigung.
current projects: ManiacLab; aioxmpp
zombofant networkmy photostream
„Writing code is like writing poetry“ - source unknown


„Give a man a fish, and you feed him for a day. Teach a man to fish and you feed him for a lifetime. “ ~ A Chinese Proverb


Nach oben
 Profil  
Mit Zitat antworten  
BeitragVerfasst: Mo Jan 16, 2012 14:09 
Offline
DGL Member
Benutzeravatar

Registriert: Di Mai 18, 2004 16:45
Beiträge: 2621
Wohnort: Berlin
Programmiersprache: Go, C/C++
Zitat:
Wenn das doch mehr werden sollte, dann wird es irgendwann problematisch, weil Listen im Speicher umkopiert werden müssen. Wenn dann später jemand vorbei kommt der noch nicht so erfahren ist, der wird die Probleme nicht erkennen und glaubt seine Lösung gefunden zu haben. Nur dann wundert er sich warum es nicht so funktioniert. Ganz zu schweigen davon, dass diejenigen die vor haben an einer Challenge teilzunehmen, sich solcher Probleme bewusst sind oder nicht. Der eine stellt eine sehr einfache Lösung auf, wärend der Andere eine sehr komplexe hat. Oder jemand denkt daran, dass man zu den Werten eventuell noch die Zeit braucht, weil die Werte sonst nichts weiter als Zahlen ohne Bezug sind. Und so weiter und so weiter.

Ich hoffe doch, dass genau sowas passiert ^^ Weil dann kann man erst anfangen zu Diskutieren und voneinander zu lernen.
Bei meinem Vorschlag, für den ersten Event, hab ich mit Absicht es versucht sehr ein zu schränken.
Die Lösungen sollten sich sehr stark ähneln, weil einfach wenig Spielraum gelassen wird.
Würde man z.B. sagen, dass es thread safe sein muss, dann würden wesentlich mehr Unterschiede raus kommen, weil dann auf jede vorige Lösung nochmal viele weite Möglichkeiten ergeben(lock-free list, locks in den Container, locks in der API, welche Art von locks(spinlock/mutex), sollen die Daten im Speicher von einem Thread oder des Hauptprozesses liegen).

_________________
"Wer die Freiheit aufgibt um Sicherheit zu gewinnen, der wird am Ende beides verlieren"
Benjamin Franklin

Projekte: https://github.com/tak2004


Nach oben
 Profil  
Mit Zitat antworten  
Beiträge der letzten Zeit anzeigen:  Sortiere nach  
Ein neues Thema erstellen Auf das Thema antworten  [ 32 Beiträge ]  Gehe zu Seite Vorherige  1, 2, 3  Nächste
Foren-Übersicht » Sonstiges » Community-Projekte


Wer ist online?

Mitglieder in diesem Forum: 0 Mitglieder und 17 Gäste


Du darfst keine neuen Themen in diesem Forum erstellen.
Du darfst keine Antworten zu Themen in diesem Forum erstellen.
Du darfst deine Beiträge in diesem Forum nicht ändern.
Du darfst deine Beiträge in diesem Forum nicht löschen.
Du darfst keine Dateianhänge in diesem Forum erstellen.

Suche nach:
Gehe zu:  
  Powered by phpBB® Forum Software © phpBB Group
Deutsche Übersetzung durch phpBB.de
[ Time : 0.059s | 17 Queries | GZIP : On ]