Files |  Tutorials |  Articles |  Links |  Home |  Team |  Forum |  Wiki |  Impressum

Aktuelle Zeit: Do Mär 28, 2024 21:47

Foren-Übersicht » Sonstiges » Community-Projekte
Unbeantwortete Themen | Aktive Themen



Ein neues Thema erstellen Auf das Thema antworten  [ 32 Beiträge ]  Gehe zu Seite 1, 2, 3  Nächste
Autor Nachricht
 Betreff des Beitrags: Ideen für die Erweiterung von DGL
BeitragVerfasst: Sa Jan 07, 2012 16:12 
Offline
DGL Member
Benutzeravatar

Registriert: Di Mai 18, 2004 16:45
Beiträge: 2621
Wohnort: Berlin
Programmiersprache: Go, C/C++
Diese Woche sind mit 2 Ideen im Kopf rum geschwirrt, welche vieleicht ein bisschen mehr Leben und knowledge transfer innerhalb der Community bringen könnte.

Die erste Idee ist ein gemeinsamer DGL Blog, für den jeder Community Member Artikel einreichen kann und diese dann nach einer Review veröffentlicht werden.
Der Vorteil dieser Form von Artikel ist, dass er nicht Wissenschaftlich korrekt, bis in Detail ausgearbeitet werden muss, wie z.B. es im Wiki der Fall ist.

Die zweite Idee ist ein Software Design Challenge, bei der sich ein sehr kleines Thema raus gesucht wird und dann jeder eine Lösung vorstellen kann und diese in der Gruppe diskutiert und die Lösungsansätze analysiert werden. Ich hab es zwar Challenge genannt aber es ist im Prinzip keine, da es beim Software Design kein Richtig oder Falsch gibt, nur Lösungen die für bestimmte Gegebenheiten besser geeignet sind. Also keine Gewinner oder bestimmte Zeiträume, in dem diese ablaufen. Ein Beispiel wäre folgendes.
"Datenverwaltung einer Queue Klasse." Dazu könnte nun wer sagen, "Ich würde 2 Pointer nutzten", einer sagt "Mein Konzept sieht eine Policy-Klasse "Holder" vor." und so weiter. Je Spezifischer die Bedingung, je weniger unterschiedliche Ansätze kommen raus aber man muss auch bedenken, dass es unterschiedliche Sprachen gibt, wie z.B. Java, wo es keine Pointer gibt. Vieleicht ist ja für ein Entwickler wichtig, dass sein Code unter Java und C++ gleich aus sieht und nutzt lieber ein Array. Das ist Software design und je besser man darin ist des so schneller, stabiler, performanter ist die Software die man entwickelt.

_________________
"Wer die Freiheit aufgibt um Sicherheit zu gewinnen, der wird am Ende beides verlieren"
Benjamin Franklin

Projekte: https://github.com/tak2004


Nach oben
 Profil  
Mit Zitat antworten  
BeitragVerfasst: Sa Jan 07, 2012 17:06 
Offline
Forenkatze
Benutzeravatar

Registriert: Mi Okt 22, 2003 18:30
Beiträge: 1944
Wohnort: Närnberch
Programmiersprache: Scala, Java, C*
Letzters halte ich aufgrund der Sprachbarriere für schwierig, aber dadurch umso reizvoller. Gefällt mir, wo ich so drüber nachdenke, recht gut :) +1 von mir

Und ersteres... Klingt nett, aber ich würde dafür keinen Blog nehmen, sondern einfach einen Bereich im Wiki dafür hernehmen. Eine Spielwiese oder Greenfield-Bereich oder Kreativbereich oder was weiß ich, da fällt uns bestimmt ein super Name dafür ein. Sinn des Bereichs ist, dass dort die Regeln etwas gelockert werden, damit man eher bereit ist, da was rein zu schreiben. Und wenn so ein Artikel mal richtig gut sein sollte, kann man ihn auch leicht in einen prominenteren Teil vom Wiki verschieben. Außerdem haben wir so nicht noch eine weitere Software, die aktualisiert und gewartet werden mag ;)

_________________
"Für kein Tier wird so viel gearbeitet wie für die Katz'."


Nach oben
 Profil  
Mit Zitat antworten  
BeitragVerfasst: Sa Jan 07, 2012 17:09 
Offline
DGL Member
Benutzeravatar

Registriert: Do Sep 02, 2004 19:42
Beiträge: 4158
Programmiersprache: FreePascal, C++
TAK2004 hat geschrieben:
Die erste Idee ist ein gemeinsamer DGL Blog, für den jeder Community Member Artikel einreichen kann und diese dann nach einer Review veröffentlicht werden.
Der Vorteil dieser Form von Artikel ist, dass er nicht Wissenschaftlich korrekt, bis in Detail ausgearbeitet werden muss, wie z.B. es im Wiki der Fall ist.

Sowas war schonmal angedacht, oder? Ich meine mich da zu erinnern… Naja, kann man mit phpBB3 jedenfalls sicherlich machen. Ist ja auch nur eine andere Art des Forums (Blogeintrag == Thread, Kommentar == Reply). Hast du lust, dich mal auf die Suche nach geeigneten Plugins zu machen?
@Frase: Jo, Wiki geht natürlich auch. Jeder kann in seinem Benutzernamespace sowieso alles machen, was er mag. So ein Blog der aber auch auf der Hauptseite zugänglich ist, könnte aber effektiver sein. Sonst würde es eh darin enden, dass man die Artikel erstmal hier verlinkt, also warum nicht gleich über die Forensoftware gehen? ⁽¹⁾

TAK2004 hat geschrieben:
Die zweite Idee ist ein Software Design Challenge, bei der sich ein sehr kleines Thema raus gesucht wird und dann jeder eine Lösung vorstellen kann und diese in der Gruppe diskutiert und die Lösungsansätze analysiert werden.

Weiß nicht, ob die Idee klappt, denke da ähnlich wie Frase. Da Softwaredesign ein sehr heikles Thema ist (vorallem wenn dann auch noch unterschiedliche Sprachparadigmen dazukommen) müsste man sicherlich einige Rahmenregeln festlegen. Ich würde zunächst versuchen, das ganze von der Sprache wegabstrahiert zu betreiben, d.h. eine Lösung muss Sprachunabhängig sein *oder* eine Festlegung auf einen kleinen Satz von gängigen Sprachen durchzuführen (aus jeder wichtigen Kategorie eine, z.B.: Python/Scala (für die funktional angehauchten), C++/Pascal (klassisch Imperativ/OOP), Haskell (für die Wahnsinnigen)).
Ich mache mir an der Stelle vorallem Sorgen, dass das in Sprachflamewars ausarten könnte. Aber das könnte mit so einer Regulierung eingedämmt werden.
Einen Versuch ist es aber allerdings wert.

greetings

⁽¹⁾: Inzwischen ist die Wartung bei Updates auch deutlich angenehmer, da wir das phpBB3 nun ordentlich in nem git liegen haben und nur noch die updates aus Upstream reinmergen müssen ;).

_________________
If you find any deadlinks, please send me a notification – Wenn du tote Links findest, sende mir eine Benachrichtigung.
current projects: ManiacLab; aioxmpp
zombofant networkmy photostream
„Writing code is like writing poetry“ - source unknown


„Give a man a fish, and you feed him for a day. Teach a man to fish and you feed him for a lifetime. “ ~ A Chinese Proverb


Nach oben
 Profil  
Mit Zitat antworten  
BeitragVerfasst: Sa Jan 07, 2012 17:21 
Offline
Forenkatze
Benutzeravatar

Registriert: Mi Okt 22, 2003 18:30
Beiträge: 1944
Wohnort: Närnberch
Programmiersprache: Scala, Java, C*
Hmm... ich dachte da mehr an "So könnte die Aufgabenstellung in dieser Sprache aussehen". Dann kann man gemeinsam die Conciseness und Eleganz der Lösung bewundern und sich was neues überlegen. Also so ganz ohne Contest. Einfach nur um des gemeinsamen Lernen halbers... So ähnlich wie Project Euler Aufgaben lösen. Jeder kommt mit einem anderen Lösungsansatz - manchmal ist der Algorithmus gleich, aber die Sprache anders und das ist dann immer sehr witzig sich anzuschauen. Sieht man gut im Forum von Project Euler, wenn die Assembler-Leute ankommen und die J/K Leute :) Bei den Python- und Ruby-Lösungen blickt man meist noch ganz gut durch, bei Haskell und Scala wird's schon lustiger.
Ich könnte mir das auch für hier vorstellen. Prinzipiell.

Allerdings ist Software Design ein seeeeeeeehr breites Feld. Project Euler hat mathematische Probleme und wer sich für sowas interessiert, findet bei Euler viele Probleme, die ihm gefallen könnten. Ich denke, bei Software Design ist das Feld zu breit um dauerhaft die Motivation hoch zu halten, weil bestimmt einige der Probleme nicht alle gleich interessieren, aber vielleicht bin ich da zu pessimistisch.

Ach ja und wg. Blog: Stimmt, das Forum hab ich ganz vergessen. Ich persönlich halte das ja auch so, dass ich irgendwelche gedanklichen Ergüsse ohne zu Zögern im Forum ausbreite :D Ganz ohne Blog-Software oder Wiki oder sonstwas. Ich hab da keine Hemmungen. Es ist alles da, was man braucht und einigermaßen sortiert ist es auch.
In meinem Projektethreads mach ich das ja gerne (Wie viele andere ja auch). Da werf ich so ein paar Technik-Forschung rein - als Appetithappen :)

_________________
"Für kein Tier wird so viel gearbeitet wie für die Katz'."


Nach oben
 Profil  
Mit Zitat antworten  
BeitragVerfasst: Sa Jan 07, 2012 17:28 
Offline
DGL Member
Benutzeravatar

Registriert: Do Sep 02, 2004 19:42
Beiträge: 4158
Programmiersprache: FreePascal, C++
Frase hat geschrieben:
Allerdings ist Software Design ein seeeeeeeehr breites Feld. Project Euler hat mathematische Probleme und wer sich für sowas interessiert, findet bei Euler viele Probleme, die ihm gefallen könnten. Ich denke, bei Software Design ist das Feld zu breit um dauerhaft die Motivation hoch zu halten, weil bestimmt einige der Probleme nicht alle gleich interessieren, aber vielleicht bin ich da zu pessimistisch.

Das macht ja nichts. Es muss ja nicht jeder alles Lösen. Ich finde die Idee das so ähnlich wie Project Euler aufzubauen garnicht so schlecht. Vielleicht kann man da einfach ein neues Unterforum aufmachen, wo nur Moderatoren einen neuen Post machen dürfen (einfach ums sauber zu halten; gibt ja immer wieder Leute, die Regeln nicht lesen…), alle anderen dürfen Replien und auch Attachments anhängen. Dann würde man noch die Einschränkung machen, dass man bitte nicht über die Aufgabenstellung diskutiert, sondern nur Lösungen dort reinstellt; Diskussionen über die Aufgabenstellungen können ja in einem separaten Forum erfolgen (ähnlich wie halt bei den Projekten).
Wäre auch ein neuer Ansatz für einen Award: Die meisten Challenges gelöst in einem Zeitintervall ;). DGL-Riddler oder so ;).

Frase hat geschrieben:
In meinem Projektethreads mach ich das ja gerne (Wie viele andere ja auch). Da werf ich so ein paar Technik-Forschung rein - als Appetithappen :)

Klar; Sowas separat zu machen halte ich aber für sinnvoll. Ich klicke mich zum Beispiel nicht unbedingt durch Projekte, die nicht allzu spannend klingen. Außerdem ists mit der Semantik besser, wenn man sowas trennt. Man könnte dann in der Forensuche z.B. gezielt nach solchen Technik-Blogposts oder so suchen [tags!]

greetings

_________________
If you find any deadlinks, please send me a notification – Wenn du tote Links findest, sende mir eine Benachrichtigung.
current projects: ManiacLab; aioxmpp
zombofant networkmy photostream
„Writing code is like writing poetry“ - source unknown


„Give a man a fish, and you feed him for a day. Teach a man to fish and you feed him for a lifetime. “ ~ A Chinese Proverb


Nach oben
 Profil  
Mit Zitat antworten  
BeitragVerfasst: Sa Jan 07, 2012 17:32 
Offline
DGL Member
Benutzeravatar

Registriert: Do Dez 29, 2005 12:28
Beiträge: 2249
Wohnort: Düsseldorf
Programmiersprache: C++, C#, Java
Zitat:
Letzters halte ich aufgrund der Sprachbarriere für schwierig, aber dadurch umso reizvoller. Gefällt mir, wo ich so drüber nachdenke, recht gut :) +1 von mir

Eigentlich ne gute Idee. Jeder der möchte darf ein solches Designproblem einreichen/vorschlagen. Ich würde das in einem extrem Subforum machen. Im Wiki bekommt man möglicherweise nicht mit wenn es was neues gibt. Ich schaue jedenfalls normal nur ins Forum. Außerdem kann man im Forum die Benachrichtigungsfunktion benutzen.

Man könnte es ähnlich wie im Projekteforum machen, also zwei miteinander verlinkte Threads: Thread 1 enthält im ersten Post die Beschreibung des Problems. Die folgenden Posts sind dann Lösungsvorschläge in beliebigen Sprachen. Der 2. Thread ist für die Diskussion.

Vom Prinzip braucht es nur einen Mod der ein wenig darauf achtet das die Threads jeweils korrekt verlinkt sind und die Diskussion im Diskussions-Thread bleibt. Wenn sich herausstellen sollte das dieses Konzept gut funktioniert und wir täglich mehrere neue Designprobleme und Lösungen bekommen (wohl eher nicht ;)) kann man dann mal darüber nachdenken ob es sich lohnt die Forensoftware daran anzupassen.

Was allerdings dringend benötigt würde wäre Syntaxhighlight im Forum!


Bezüglich das Blogs: Einfach eine offizielle Stelle einrichten an der man Beiträge einreichen kann und diese werden dann in der News-Sektion gepostet, ggf. mit einem Tag [BLOG] oder so

_________________
Yeah! :mrgreen:


Nach oben
 Profil  
Mit Zitat antworten  
BeitragVerfasst: Sa Jan 07, 2012 17:41 
Offline
DGL Member
Benutzeravatar

Registriert: Do Sep 02, 2004 19:42
Beiträge: 4158
Programmiersprache: FreePascal, C++
Coolcat hat geschrieben:
Was allerdings dringend benötigt würde wäre Syntaxhighlight im Forum!

[ code=python]
Code:
  1. #!/usr/bin/python2
  2. from pyexiv2 import ImageMetadata
  3. import sys
  4. from datetime import datetime, timedelta
  5.  
  6. for fileName in sys.argv[1:]:
  7.     metadata = ImageMetadata(fileName)
  8.     metadata.read()
  9.     timestamp = metadata["Exif.Image.DateTime"].value
  10.     timestamp -= timedelta(seconds=60*60)
  11.     print(timestamp)

[ code=cpp]
Code:
  1. #include "modules/core/UIBootstrap.hpp"
  2.  
  3. using namespace tt3d;
  4.  
  5. int main(int argc, const char *argv[]) {
  6.     Core::TT3D *core = new Core::TT3D();
  7.     core->runApp();
  8.     delete core;
  9.     return 0;
  10. }

[ code=vhdl]
Code:
  1. entity IPv4RX is
  2.     Port ( clk : in std_logic;
  3.            rst : in std_logic;
  4.               IP : in std_logic_vector(31 downto 0);
  5.              
  6.               ipNewFrame : out std_logic;
  7.               ipPayloadByte : out std_logic;
  8.               ipPayloadData : out std_logic_vector(7 downto 0);
  9.               ipEndFrame : out std_logic;
  10.               ipSrcIP : out std_logic_vector(31 downto 0);
  11.              
  12.               newFrame : in std_logic;
  13.               payloadByte : in std_logic;
  14.               payloadData : in std_logic_vector(7 downto 0);
  15.               endFrame : in std_logic
  16.               -- debugLEDs : inout std_logic_vector(7 downto 0)
  17.               );
  18. end IPv4RX;

[ code=delphi]
Code:
  1.   repeat
  2.     if Remaining <= TargetOffset + 1 then
  3.       Exit(False);
  4.     Inc(Target);
  5.     Inc(TargetOffset);
  6.     if TThoriumInstructionJMP(Jump^).NewAddress = StartIndex+TargetOffset then
  7.     begin
  8.       Instructions.DeleteInstructions(StartIndex, 1);
  9.       Offset := -1;
  10.       Exit(True);
  11.     end;
  12.   until Target^.Instruction <> tiEmbeddedHint;

Für andere Sprachen hab ich gerade kein Sample dabei… Noch fragen? ;) [sorry für OT]

Coolcat hat geschrieben:
Bezüglich das Blogs: Einfach eine offizielle Stelle einrichten an der man Beiträge einreichen kann und diese werden dann in der News-Sektion gepostet, ggf. mit einem Tag [BLOG] oder so

Naja, einfach Mods ansprechen… Zumindest ich bin früher darauf von selbst gekommen. Bin mir aber nicht sicher, ob das so ein sinnvolles Konzept ist.

greetings
(ps.: Gezeigte Codeschnipsel stehen unter MPL/GPL duallizenzierung)

_________________
If you find any deadlinks, please send me a notification – Wenn du tote Links findest, sende mir eine Benachrichtigung.
current projects: ManiacLab; aioxmpp
zombofant networkmy photostream
„Writing code is like writing poetry“ - source unknown


„Give a man a fish, and you feed him for a day. Teach a man to fish and you feed him for a lifetime. “ ~ A Chinese Proverb


Nach oben
 Profil  
Mit Zitat antworten  
BeitragVerfasst: Sa Jan 07, 2012 18:23 
Offline
DGL Member
Benutzeravatar

Registriert: Di Mai 18, 2004 16:45
Beiträge: 2621
Wohnort: Berlin
Programmiersprache: Go, C/C++
Die Blog-Artikel durch phpBB zu lösen ist eine sehr gute Idee.
Wenn ich auf http://blog.phpbb.com/ gehe, scheint es wohl auch eine integriertes Blogsystem zu geben.

Wegen dem Software Design Thema, ich hoffe doch, dass Lösungen für verschiedene Sprachen zum vorschein kommen.
Es ist gut, wenn man sieht, wie sich andere Sprachen bei gleichem Problem verhalten.
Ich z.B. habe mittlerweile 3 Fragezeichen über dem Kopf, wie jemand C# für Spieleentwicklung nutzten kann.
Ich stoße beinahe täglich auf neue Probleme, wenn ich Spiele Client und Server entwicklung unter C# mache, welche ich bevor ich mit arbeiten musste nie erwartet hätte. Diese Probleme kann man teilweise durch solche Events aufdecken und Entwickler wechseln öfter die Sprachen, von daher lebt man als Programmierer von dem Wissen was man im Software Design angeeignet.
Zur Umsetzung würde ich auch die 2 Bereiche, Design challenge und Design solution, Vorschlagen und ein Thread zum sammeln der challenge Vorschläge.

_________________
"Wer die Freiheit aufgibt um Sicherheit zu gewinnen, der wird am Ende beides verlieren"
Benjamin Franklin

Projekte: https://github.com/tak2004


Nach oben
 Profil  
Mit Zitat antworten  
BeitragVerfasst: Sa Jan 07, 2012 18:31 
Offline
DGL Member
Benutzeravatar

Registriert: Do Sep 02, 2004 19:42
Beiträge: 4158
Programmiersprache: FreePascal, C++
TAK2004 hat geschrieben:
Zur Umsetzung würde ich auch die 2 Bereiche, Design challenge und Design solution, Vorschlagen und ein Thread zum sammeln der challenge Vorschläge.

Stimmt. Eventuell ist es sinnvoll, die Challenges als Threads zu machen, wo man gleich die Challenge kommentieren kann und jede Lösung wiederum als eigenen Thread um darüber zu diskutieren… Bin mir aber nicht sicher, ob über die Lösungen diskutiert werden sollte und man nicht lieber eine „Comment and do it better“-Policy haben will. Dann würde man das vorherige Vorgehen (Ein Bereich mit den Challenge-Threads, wo die Replies == Lösungen sind und ein Bereich mit Challenge-Diskussionen, wo nur die Aufgabenstellung diskutiert wird)

greetings

_________________
If you find any deadlinks, please send me a notification – Wenn du tote Links findest, sende mir eine Benachrichtigung.
current projects: ManiacLab; aioxmpp
zombofant networkmy photostream
„Writing code is like writing poetry“ - source unknown


„Give a man a fish, and you feed him for a day. Teach a man to fish and you feed him for a lifetime. “ ~ A Chinese Proverb


Nach oben
 Profil  
Mit Zitat antworten  
BeitragVerfasst: Sa Jan 07, 2012 21:50 
Offline
Forenkatze
Benutzeravatar

Registriert: Mi Okt 22, 2003 18:30
Beiträge: 1944
Wohnort: Närnberch
Programmiersprache: Scala, Java, C*
Aye. Also um mal wieder Bezug auf Project Euler zu nehmen: Da hat man die Probleme auf eigenen kleinen Websites und wenn man auf die Lösung gekommen ist und sie eingegeben hat (und sie stimmt), bekommt man den Link für den Lösungsthread, wo man seine Lösung posten kann (bzw. die ganzen coolen Lösungen der anderen bewundern kann). Da sah so ein Post dann etwa so aus:

Zitat:
Nice one! Even shorter version:
Code:
  1. def solveShort(n: Int) = Set(3, 5) flatMap (0 until n by _) sum


Diskutiert wird da AFAIK eher selten. Meistens hängen den Leuten einfach die Kinnladen runter bei den Lösungen ;D
Wie das allerdings bei Design Geschichten aussieht... Schwierig. Denn da gibt es tatsächlich sehr viel zu diskutieren. Wie schon geschrieben wurde, da gibt es nicht eindeutig falschen oder richtigen Code.

Was spricht denn eigentlich dagegen, 1 Thread pro Problem zu haben, in dem dann auch die Antworten gepostet werden? Der erste Post ist ja sowieso die Aufgabenstellung und dann sieht man auch gleich die Ergebnisse. Wäre IMHO völlig ausreichend fürs erste.
Beim Projekteforum ist es ja deswegen anders, weil da der Projekt-Owner quasi einen Thread für sich haben soll. Das brauchen wir hier ja nicht wirklich...

_________________
"Für kein Tier wird so viel gearbeitet wie für die Katz'."


Nach oben
 Profil  
Mit Zitat antworten  
BeitragVerfasst: Sa Jan 07, 2012 23:54 
Offline
DGL Member
Benutzeravatar

Registriert: Mi Apr 13, 2011 22:05
Beiträge: 218
Programmiersprache: Lazarus/FPC
Ich wäre für sowas wie einen Mini-Chat unten auf der Forum-Hauptseite. Da ist einfach unten ein kleines Fenster wo jeder der angemeldet ist was reinschreiben kann, so kann man mal auf die Schnelle Video-Links posten, einen guten morgen wünschen oder sonst ne Kleinigkeit hinschreiben...was man heute so entwickelt hat oder was weiß ich.^^
Ist ja sicher nicht so schwer zu implementieren, bringt aber sicher viel.

_________________
Ich teile manchmal heimlich durch Null. - Alber Einstein


Nach oben
 Profil  
Mit Zitat antworten  
BeitragVerfasst: So Jan 08, 2012 11:29 
Offline
DGL Member
Benutzeravatar

Registriert: Do Dez 29, 2005 12:28
Beiträge: 2249
Wohnort: Düsseldorf
Programmiersprache: C++, C#, Java
@Ida: Es gibt bereits einen IRC-Channel: http://wiki.delphigl.com/index.php/DGL-Chat

@Lord Horazont: Ah, supi. Das Syntaxhighlight wieder geht hatte ich nicht mitbekommen. :)

_________________
Yeah! :mrgreen:


Nach oben
 Profil  
Mit Zitat antworten  
BeitragVerfasst: So Jan 08, 2012 12:22 
Offline
DGL Member
Benutzeravatar

Registriert: Mi Apr 13, 2011 22:05
Beiträge: 218
Programmiersprache: Lazarus/FPC
??!?!?, oha :shock:

_________________
Ich teile manchmal heimlich durch Null. - Alber Einstein


Nach oben
 Profil  
Mit Zitat antworten  
BeitragVerfasst: So Jan 08, 2012 16:27 
Offline
DGL Member
Benutzeravatar

Registriert: Do Sep 02, 2004 19:42
Beiträge: 4158
Programmiersprache: FreePascal, C++
Frase hat geschrieben:
Was spricht denn eigentlich dagegen, 1 Thread pro Problem zu haben, in dem dann auch die Antworten gepostet werden? Der erste Post ist ja sowieso die Aufgabenstellung und dann sieht man auch gleich die Ergebnisse. Wäre IMHO völlig ausreichend fürs erste.

Ich würde die Lösungen gerne ungestört von Diskussionen über die Aufgabenstellung haben.

greetings

_________________
If you find any deadlinks, please send me a notification – Wenn du tote Links findest, sende mir eine Benachrichtigung.
current projects: ManiacLab; aioxmpp
zombofant networkmy photostream
„Writing code is like writing poetry“ - source unknown


„Give a man a fish, and you feed him for a day. Teach a man to fish and you feed him for a lifetime. “ ~ A Chinese Proverb


Nach oben
 Profil  
Mit Zitat antworten  
BeitragVerfasst: Di Jan 10, 2012 13:41 
Offline
Guitar Hero
Benutzeravatar

Registriert: Do Sep 25, 2003 15:56
Beiträge: 7804
Wohnort: Sachsen - ERZ / C
Programmiersprache: Java (, Pascal)
Nochmal auf den Vorschlag #1 eingehend: Wer etwas interessantes zu Posten hat kann das z.B. auch als News machen. (Wir hatten ursprünglich [2009?] eh mal vor ein Blogsystem für news einzurichten)
Wer also ein interessantes Thema hat und darüber mal was schreiben will kann das in der Form machen. Man könnten dann den Artikel im Wiki archivieren. Wir hatten diesbezüglich ja eh schon eine Unterteilung. Es gab die Tutorials > Anleitungen > Normale Wikiartikel. Das würde dann in den Bereich "Anleitung" fallen.

Für das Ganze Thema würde ich den sowas wie "DGL - Did you know" vorschlagen, oder auf Deutsch "DGl - Wusstest du schon"

_________________
Blog: kevin-fleischer.de und fbaingermany.com


Nach oben
 Profil  
Mit Zitat antworten  
Beiträge der letzten Zeit anzeigen:  Sortiere nach  
Ein neues Thema erstellen Auf das Thema antworten  [ 32 Beiträge ]  Gehe zu Seite 1, 2, 3  Nächste
Foren-Übersicht » Sonstiges » Community-Projekte


Wer ist online?

Mitglieder in diesem Forum: 0 Mitglieder und 14 Gäste


Du darfst keine neuen Themen in diesem Forum erstellen.
Du darfst keine Antworten zu Themen in diesem Forum erstellen.
Du darfst deine Beiträge in diesem Forum nicht ändern.
Du darfst deine Beiträge in diesem Forum nicht löschen.
Du darfst keine Dateianhänge in diesem Forum erstellen.

Suche nach:
Gehe zu:  
cron
  Powered by phpBB® Forum Software © phpBB Group
Deutsche Übersetzung durch phpBB.de
[ Time : 0.067s | 17 Queries | GZIP : On ]